第一论文网免费提供电气毕业论文范文,电气毕业论文格式模板下载

基于串行法的新型EDA优化技术的研究

  • 投稿框架
  • 更新时间2015-09-11
  • 阅读量280次
  • 评分4
  • 14
  • 0

赵建飞1,段新文1,张福祥2

(1.青海师范大学物理系,青海西宁810008;2.中国人民解放军93987部队,青海西宁810000)

摘要:EDA代表了当今电子设计技巧的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开端设计电子系统,大批工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的全部过程在计算机上主动处理完成。但EDA技术在优化方式上,却存在着一定的弱势,因此提出串行法新型优化方式,把耗用资源巨大、单时钟周期内完成的并行执行的逻辑块分割开,提取出相同的逻辑模块,在时间上复用该模块,用多个时钟完成相同的功能,从而在一定程度上解决EDA技术优化不足的问题。

教育期刊网 http://www.jyqkw.com
关键词 :电子设计自动化;优化技术;串行化;生产电路

中图分类号:TN702.2?34 文献标识码:A 文章编号:1004?373X(2015)15?0110?02

收稿日期:2015?02?28

基金项目:青海师范大学校级教学研究基金项目(20130015)

EDA(Electronic Design Automation,电子设计自动化)是20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA 技术就是以计算机为工具,设计者在EDA 软件平台上用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。本文将会引入一种新型的串行优化方式,从而可以使通过EDA工具进行的电子设计在一定程度上占用的芯片面积更小,速度更快。

1 未优化代码分析[1]

1.1 部分代码

未优化代码如下:

1.2 生产电路

生产电路结构图如图1所示。

综合结果:适配至EPF10K20TC144?4使用了750个逻辑单元,资源占用率为65%。

1.3 仿真结果

仿真结果示意图如图2所示。

2 优化代码分析

2.1 部分代码

优化代码分析如下:

2.2 生产电路

生产电路结构图如图3所示。

综合结果:适配至EPF10K20TC144?4使用了291个逻辑单元,资源占用率为25%。

2.3 仿真结果

仿真结果示意图如图4所示。

3 结论

由上述分析可以看出,通过新型的串行化的优化方式,可以很大程度上提高芯片面积的使用效率,如:未优化时,适配至EPF10K20TC144?4 使用了750 个逻辑单元,资源占用率为65%;而优化以后,适配至EPF10K20TC144?4使用了291个逻辑单元,资源占用率为25%;显而易见,通过串行化的方式进行优化,只使用了原芯片使用面积的38.8%,优化效果非常好。随着时钟频率的不断提高,串行化优化带来的硬件运行速度在一定程度上的降低的问题,也将会被解决,因此,串行优化方式将会成为未来一种非常有实际意义的新型优化方式。

教育期刊网 http://www.jyqkw.com
参考文献

[1] 谭会生,张昌凡.EDA 技术及应用[M].苏州:苏州大学出版社,2001.

[2] 潘松,黄继业.EDA技术使用教程[M].北京:科学出版社,2002.

[3] 王锁萍.电子设计自动化(EDA)教程[M].成都:电子科技大学出版社,2000.

[4] IEEE Computer Society. IEEE Std 1076 TM?2002. IEEE Stan?dard VHDL Language Reference Manual [S]. New York: IEEE Press,1987.

[5] Alde Inc.. VHDL language reference guide [R]. Nevada: AldeInc.,1999.

[6] 唐颖.EDA技术与单片机系统[J].现代电子技术,2001,24(3):31?32.

作者简介:赵建飞(1982—),男,硕士研究生。从事计算机网络、单片机、数据库方面的研究工作。